GWT Compiler error missing core libraries of java

0

I am trying to compile my libgdx project with the gwt plugin inside of eclipse. The compiler gives the following message:

Apr 11, 2019 10:42:09 AM java.util.prefs.WindowsPreferences <init>
WARNING: Could not open/create prefs root node Software\JavaSoft\Prefs at root 0x80000002. Windows RegCreateKeyEx(...) returned error code 5.
Public resources found in...
   Unexpected entry in classpath; C:\my-gdx-game-core\bin\default is neither a directory nor an archive (.jar or .zip)
Translatable source found in...
Public resources found in...
   Unexpected entry in classpath; C:\my-gdx-game-core\bin\default is neither a directory nor an archive (.jar or .zip)
Translatable source found in...
Persistent unit cache dir set to: C:\Users\thebi\Documents\Backed Up\src\java\another-tst\html\gwt-unitCache
Looking for previously cached Compilation Units in C:\Users\thebi\Documents\Backed Up\src\java\another-tst\html\gwt-unitCache
Compiling module com.mygdx.game.GdxDefinitionSuperdev
   Looking for precompiled archives.  To disable, use -Dgwt.usearchives=false
   Loading archived module: jar:file:/C:/Users/thebi/.p2/pool/plugins/com.gwtplugins.gwt.eclipse.sdkbundle.gwt27_2.7.0.201710131939/gwt-2.7.0/gwt-user.jar!/com/google/gwt/core/Core.gwtar
gwt-unitCache-c04dfa348afbdd12c2a9ddc3bc36901a78fa6812-0000016A0CCE0AE0: Load complete
   Loading archived module: jar:file:/C:/Users/thebi/.p2/pool/plugins/com.gwtplugins.gwt.eclipse.sdkbundle.gwt27_2.7.0.201710131939/gwt-2.7.0/gwt-user.jar!/com/google/gwt/user/User.gwtar
   Loading archived module: jar:file:/C:/Users/thebi/.p2/pool/plugins/com.gwtplugins.gwt.eclipse.sdkbundle.gwt27_2.7.0.201710131939/gwt-2.7.0/gwt-user.jar!/com/google/gwt/regexp/RegExp.gwtar
   Loading archived module: jar:file:/C:/Users/thebi/.p2/pool/plugins/com.gwtplugins.gwt.eclipse.sdkbundle.gwt27_2.7.0.201710131939/gwt-2.7.0/gwt-user.jar!/com/google/web/bindery/event/Event.gwtar
   Loading archived module: jar:file:/C:/Users/thebi/.p2/pool/plugins/com.gwtplugins.gwt.eclipse.sdkbundle.gwt27_2.7.0.201710131939/gwt-2.7.0/gwt-user.jar!/com/google/gwt/user/UI.gwtar
   Loading archived module: jar:file:/C:/Users/thebi/.p2/pool/plugins/com.gwtplugins.gwt.eclipse.sdkbundle.gwt27_2.7.0.201710131939/gwt-2.7.0/gwt-user.jar!/com/google/gwt/user/UserAgent.gwtar
   Loading archived module: jar:file:/C:/Users/thebi/.p2/pool/plugins/com.gwtplugins.gwt.eclipse.sdkbundle.gwt27_2.7.0.201710131939/gwt-2.7.0/gwt-user.jar!/com/google/gwt/user/DOM.gwtar
   Loading archived module: jar:file:/C:/Users/thebi/.p2/pool/plugins/com.gwtplugins.gwt.eclipse.sdkbundle.gwt27_2.7.0.201710131939/gwt-2.7.0/gwt-user.jar!/com/google/gwt/user/RemoteService.gwtar
   Found 3831 cached/archived units.  Used 3831 / 3831 units from cache.
   Compiling...
      Compilation completed in 0.00 seconds
   Added 46 units to cache since last cleanup.
Wrote 46 units to persistent cache.
   Tracing compile failure path for type 'java.util.zip.InflaterInputStream'
      Errors in 'com/badlogic/gdx/backends/gwt/emu/java/util/zip/InflaterInputStream.java'
         Line 28: No source code is available for type java.io.InputStream; did you forget to inherit a required module?
   Tracing compile failure path for type 'com.badlogic.gdx.backends.gwt.GwtNet'
      Errors in 'com/badlogic/gdx/backends/gwt/GwtNet.java'
         Line 73: No source code is available for type java.io.InputStream; did you forget to inherit a required module?
      Errors in 'com/badlogic/gdx/net/Socket.java'
         Line 41: No source code is available for type java.io.InputStream; did you forget to inherit a required module?
      Errors in 'com/badlogic/gdx/Net.java'
         Line 80: No source code is available for type java.io.InputStream; did you forget to inherit a required module?
   Tracing compile failure path for type 'com.badlogic.gdx.files.FileHandleStream'
      Errors in 'com/badlogic/gdx/backends/gwt/emu/com/badlogic/gdx/files/FileHandleStream.java'
         Line 55: No source code is available for type java.io.InputStream; did you forget to inherit a required module?
      Errors in 'com/badlogic/gdx/backends/gwt/GwtFileHandle.java'
         Line 103: No source code is available for type java.io.InputStream; did you forget to inherit a required module?
      Errors in 'com/badlogic/gdx/backends/gwt/emu/com/badlogic/gdx/files/FileHandle.java'
         Line 78: No source code is available for type java.io.InputStream; did you forget to inherit a required module?
      Errors in 'com/badlogic/gdx/backends/gwt/emu/java/io/BufferedInputStream.java'
         Line 19: No source code is available for type java.io.FilterInputStream; did you forget to inherit a required module?
         Line 20: No source code is available for type java.io.InputStream; did you forget to inherit a required module?
      Errors in 'com/badlogic/gdx/backends/gwt/emu/java/io/Reader.java'
         Line 33: No source code is available for type java.io.Closeable; did you forget to inherit a required module?
      Errors in 'com/badlogic/gdx/backends/gwt/preloader/Preloader.java'
         Line 203: No source code is available for type java.io.ByteArrayInputStream; did you forget to inherit a required module?

There is a lot more than this, but it is much of the same. Mostly just java.io issues.

java
eclipse
gwt
libgdx
asked on Stack Overflow Apr 11, 2019 by Michael Pascale • edited Apr 11, 2019 by Michael Pascale

0 Answers

Nobody has answered this question yet.


User contributions licensed under CC BY-SA 3.0